Chemically amplified fullerene resists for e-beam lithography

J Manyam, FP Gibbons, Sara Diegoli, Mayandithevar Manickam, Jon Preece, Richard Palmer, Alexander Robinson

Research output: Contribution to journalArticle

11 Citations (Scopus)

Abstract

The minimum lithographic feature size for microelectronic fabrication continues to shrink, and resist properties are beginning to dominate the achievable resolution. There is a strong need for a high resolution, high sensitivity resist for future nodes that is not met by conventional polymeric resists at this time. Molecular resists, such as fullerene derivatives have the potential for lower LWR and improved resolution, but have typically been insufficiently sensitive to irradiation. Here we present a fullerene based three component chemically amplified resist system with high resolution and sensitivity, and wide process latitude. The sensitivity of this resist is between 5 and 10 μC/cm2 at 20 keV for various combinations of post application bake and post exposure bake conditions. Using 30 keV electron beam exposure, sparse patterns with 12 nm resolution were demonstrated, at a line dose of 300 pC/cm, whilst dense patterns with half-pitch 20 nm were achieved at 200 pC/cm. The LWR for the densely patterned resist (measured at 20 nm half pitch) is ~ 4.4 nm, whilst for the 12 nm sparse feature the measured LWR is ~ 2.5 nm. The etch durability is comparable with commercial novolac resists
Original languageEnglish
Article number69230M
JournalProceedings of SPIE - The International Society for Optical Engineering
Volume6923
DOIs
Publication statusPublished - 1 Jan 2008
EventAdvances in Resist Materials and Processing Technology, XXV - San Jose, United States
Duration: 24 Feb 2008 → …

Keywords

  • fullerene
  • molecular resist
  • chemically amplified resist
  • electron beam lithography

Fingerprint

Dive into the research topics of 'Chemically amplified fullerene resists for e-beam lithography'. Together they form a unique fingerprint.

Cite this