Performance of negative tone chemically amplified fullerene resists in extreme ultraviolet lithography

Andreas Frommhold, Dongxu Yang, Alexandra Mcclelland, Xiang Xue, Yasin Ekinci, Richard E. Palmer, Alexander Robinson

Research output: Contribution to journalArticlepeer-review

8 Citations (Scopus)
764 Downloads (Pure)

Abstract

Abstract. With extreme ultraviolet lithography (EUVL) emerging as one of the top contenders to succeed from optical lithography for the production of next generation semiconductor devices, the search for suitable resists that combine high resolution, low line edge roughness (LER) and commercially viable sensitivity for high volume production is still ongoing. One promising approach to achieve these goals has been the development of molecular resists. Here we report our investigations into the EUV lithographic performance of a molecular fullerene resist showing resolution down to 20-nm half-pitch with interference lithography with a LER of >5  nm and sensitivity of about 20  mJ/cm2.
Original languageEnglish
Article number033010
JournalJournal of Micro/Nanolithography, MEMS, and MOEMS
Volume12
Issue number3
DOIs
Publication statusPublished - 12 Aug 2013

Keywords

  • extreme ultraviolet lithography
  • molecular resist
  • fullerene
  • chemically amplified resist

Fingerprint

Dive into the research topics of 'Performance of negative tone chemically amplified fullerene resists in extreme ultraviolet lithography'. Together they form a unique fingerprint.

Cite this